How to define Sequencer using UVM methodology

 

Sequencer  are defined using "uvm_sequencer" library which will basically collect the item from sequence and transport it to driver using TLM mechansim.

Below shows an example of defining your own sequencer

class  tx_sequencer  extends uvm_sequencer;

 `uvm_component_utils (tx_sequencer)

  function new (string name = "tx_sequencer",  uvm_component parent);

    super.new(name, parent);

  endfunction

endclass

Comments

Popular posts from this blog

Agent definition using UVM

How to define Packages using UVM

How to Run the test using UVM