How to define a Simple Transcation using UVM
An Simple Transation can be defined using the in-built UVM library "uvm_sequence_item"
e.g
class tx_item extends uvm_sequence_item;
// uvm objet macro registers this class with UVM factory
`uvm_object_utils(tx_item)
// constructor identifies the object
function new (string name ="tx_item");
super.new(name);
endfunction
// Define variables
rand bit [7:0] data;
endclass
Comments
Post a Comment